אבי פרידמן

מתוך המכלול, האנציקלופדיה היהודית
קפיצה לניווט קפיצה לחיפוש
איבי ג. פרידמן
Eby G. Friedman
אבי פרידמן
אבי פרידמן
לידה 10 באוגוסט 1957 (גיל: 66)
ענף מדעי הנדסת חשמל
מקום מגורים ישראל, ארצות הברית
פרסים והוקרה Charles A. Desoer Technical Achievement Award
Fulbright Scholar

פרופסור איבי ג. פרידמן (Eby G. Friedman) הוא פרופסור בכיר באוניברסיטת רוצ'סטר, במחלקה להנדסת חשמל ומדעי המחשב. חבר ב-IEEE[1] (אגודה בינלאומית של מהנדסי חשמל ואלקטרוניקה) ובתוכנית פולברייט.

הוא חוקר בתחומים מעגל משולב, סינכרון שעון, מעגלים משולבים עם אותות מעורבים ו-VLSI. באוניברסיטת רוצ'סטר הוא מנהל את המרכז למערכות ראייה ממוחשבת.

פרופסור פרידמן הוא גם פרופסור אורח בטכניון[2] שם הוא גם מנהל את המרכז (Technion Advanced Circuits Research Center (ACRC.

פרופסור פרידמן הועסק ב-Hughes Aircraft Company כאחראי על תכנון, ייצור ובחינה של VLSI/VHSIC CMOS and BIMOS digital ו-analog IC.

פרופסור פרידמן נולד בניו ג'רזי ב-1957, הוא קיבל דוקטורט מאוניברסיטת קליפורניה באירוויין. פרופסור פרידמן נשוי ללורי ולהם שני ילדים.

ספרים

  • Clock Distribution Networks in VLSI Circuits and Systems (IEEE Press, 1995)
  • Analog Design Issues in Digital VLSI Circuits and Systems (Kluwer Academic Publishers, 1997)
  • Timing Optimization through Clock Skew Scheduling ( 2000 and 2009)(first and second edition)
  • On-Chip Inductance in High Speed Integrated Circuits (Kluwer Academic Publishers, 2001)[3]
  • Power Distribution Networks in High Speed Integrated Circuits (Kluwer Academic Publishers, 2004)[4]
  • Multi-Voltage CMOS Circuit Design (John Wiley & Sons Press, 2006)[5]
  • Power Distribution Networks with On-Chip Decoupling Capacitors (Springer Verlag, 2008 and 2011)(first and second edition)[6]
  • Three-Dimensional Integrated Circuit Design (Morgan Kaufmann, 2009)[7]
  • High Performance Integrated Circuit Design (McGraw-Hill Publishers, 2012)[8]
  • J. Rosenfeld and E. G. Friedman, On-Chip Resonance in Nano scale Integrated Circuits: Design and Analysis Methodologies for Advanced Data, Clock, and Power Generation Networks[9]
  • D. Velenis and E. G. Friedman, Delay Uncertainty in High Performance Clock Distribution Networks Issues and Solutions[10]
  • M. El-Moursy and E. Friedman, On-Chip Inductive Interconnect Design Methodologies[11]


  • פרופסור פרידמן פרסם כ-500 מאמרים בתחומים high speed and low power CMOS design techniques, interconnect and substrate noise, pipelining and retiming, three-dimensional integration, and the theory and application of power and synchronous clock distribution networks.[12]

אקדמיה ותארים

מאמרים נבחרים

  • I. Vaisband, B. Price, S. Kose, Y. Kolla, E. G. Friedman, and J. Fischer, " Distributed LDO Regulators in a 28 nm Power Delivery System," Analog Integrated Circuits and Signal Procesing, Volume 83, Issue 3, pp. 295 - 309, 2015.
  • I. Vaisband and E. G. Friedman, " Energy Efficient Clustering of On-Chip Power Delivery Systems," Integration, the VLSI Journal, Volume 48, pp. 1 - 9, 2015.
  • M. Kazemi, E. Ipek, and E. G. Friedman, " Adaptive Compact Magnetic Tunnel Junction Model," IEEE Transactions on Electron Devices, Vol. 61, No. 11, pp. 3883-3891, November 2014.
  • S. Kvatinsky, N. Wald, G. Satat, E. G. Friedman, A. Kolodny, and U. C. Weiser, " Memristor-Based Material Implication (IMPLY) Logic: Design Principles and Methodologies," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 22, No. 10, pp. 2054-2066, October 2014.
  • A. Shapiro and E. G. Friedman, " MOS Current Mode Logic Near Threshold Circuits," Journal on Low Power Electronics and Applications, Volume 4, pp. 138 - 152, 2014. web
  • S. Kvatinsky, Y. H. Nacson, Y. Etsion, E. G. Friedman, A. Kolodny, and U. C. Weiser, " Memristor-Based Multithreading," IEEE Computer Architecture Letters, Vol. 13, No. 1, pp. 41 - 44, January-June 2014.
  • Friedman, Eby G. "Clock distribution networks in synchronous digital integrated circuits." Proceedings of the IEEE 89.5 (2001): 665-692.
  • Ismail, Yehea, and Eby G. Friedman. "Effects of inductance on the propagation delay and repeater insertion in VLSI circuits." Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 8.2 (2000): 195-206.
  • Ismail, Yehea, Eby G. Friedman, and Jose L. Neves. "Figures of merit to characterize the importance of on-chip inductance." Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 7.4 (1999): 442-449.
  • Hauryla, Mikhail, et al. "On-chip optical interconnect roadmap: challenges and critical directions." Selected Topics in Quantum Electronics, IEEE Journal of12.6 (2006): 1699-1705.

הערות שוליים

  1. ^ [1], באתר האינטרנט של IEEE
  2. ^ [2], באתר האינטרנט של אוניברסיטת רוצסטר
  3. ^ "On-Chip Inductance in High-Speed Integrated Circuits" (PDF). Ece.northwestern.edu. אורכב מ-המקור (PDF) ב-2015-06-15. נבדק ב-2014-07-14.
  4. ^ Mikhail Popovich. "High Performance Power Distribution Networks with On-Chip Decoupling Capacitors for Nanoscale Integrated Circuits" (PDF). Ece.rochester.edu. נבדק ב-2014-07-14.
  5. ^ Zhiyu Liu. "Multi-Voltage Nanoscale CMOS Circuit Techniques" (PDF). Ihome.ust.hk. נבדק ב-2014-07-14.
  6. ^ Mikhail Popovich, Andrey V. Mezhiba, Selçuk Köse, Eby Friedman. "Power Distribution Networks with On-Chip Decoupling Capacitors" (PDF). Ihome.ust.hk. נבדק ב-2014-07-14.{{cite web}}: תחזוקה - ציטוט: multiple names: authors list (link)
  7. ^ F. Pavlidis and Eby G. Friedman. "Three-Dimensional Integrated Circuit Design" (HTML). Elsevier Inc. נבדק ב-2014-07-14.
  8. ^ Emre Salman, Eby Friedman. "High Performance Integrated Circuit Design" (HTML). McGraw Hill Professional. נבדק ב-2014-07-14.
  9. ^ J. Rosenfeld and E. G. Friedman. "On-Chip Resonance in Nanoscale Integrated Circuits" (PDF). Lambert Academic. נבדק ב-2014-07-14.
  10. ^ D. Velenis and E. G. Friedman. "Delay Uncertainty in High Performance Clock Distribution Networks: Issues and Solutions" (HTML). Lambert Academic. נבדק ב-2014-07-14.
  11. ^ M. El-Moursy and E. Friedman. "Delay Uncertainty in High Performance Clock Distribution Networks: Issues and Solutions" (HTML). VDM Publishing,. נבדק ב-2014-07-14.{{cite web}}: תחזוקה - ציטוט: extra punctuation (link)
  12. ^ "high speed and low power CMOS design techniques, interconnect and substrate noise, pipelining and retiming, three-dimensional integration, and the theory and application of power and synchronous clock distribution networks" (HTML). נבדק ב-2014-07-14.
  13. ^ "Publications search". ieee-cas.org. אורכב מ-המקור ב-2016-02-23. נבדק ב-2015-07-14.
  14. ^ "Publications search". ieeexplore.ieee.org. נבדק ב-2015-07-14.
  15. ^ "Publications search". Worldscientific.com. נבדק ב-2015-07-14.
  16. ^ "Publications search". Springer.com. נבדק ב-2015-07-14.
  17. ^ "Publications search". elsevier.com. נבדק ב-2015-07-14.
  18. ^ "Publications search". aspbs.com. נבדק ב-2015-07-14.
  19. ^ "Publications search" (PDF). eecs.wsu.edu. אורכב מ-המקור (PDF) ב-2015-06-01. נבדק ב-2015-07-14.
  20. ^ "Publications search" (PDF). nd.edu. נבדק ב-2015-07-14.
  21. ^ http://www.iscas2012.org/img/main/Conference_Guide.pdf
  22. ^ "Publications search". researchgate.net. נבדק ב-2015-07-14.
  23. ^ "Publications search" (PDF). ieee-cas.org. נבדק ב-2015-07-14.
  24. ^ "Publications search" (PDF). springer.com. נבדק ב-2015-07-14.(הקישור אינו פעיל)
  25. ^ "Publications search". ieeexplore.ieee.org. נבדק ב-2015-07-14.
  26. ^ "Publications search". ieeexplore.ieee.org. נבדק ב-2015-07-14.
  27. ^ "Publications search". ieeexplore.ieee.org. נבדק ב-2015-07-14.
  28. ^ "Publications search" (PDF). ieee-cas.org. אורכב מ-המקור (PDF) ב-2016-03-30. נבדק ב-2015-07-14.
  29. ^ "Publications search". ieeexplore.ieee.org. נבדק ב-2015-07-14.
P vip.svg ערך זה הוא קצרמר בנושא אישים. אתם מוזמנים לתרום למכלול ולהרחיב אותו.
Logo hamichlol 3.png
הערך באדיבות ויקיפדיה העברית, קרדיט,
רשימת התורמים
רישיון cc-by-sa 3.0